How widely is C++ used in design verification?

My team is transitioning from a UVM testbench to a C++ one. I wanted to know if verification engineers prefer C++ over UVM.

Add a comment
Broadcom Ltd. Nhtl20 Nov 8, 2019

Why ..why...why... Pick your poison

AMD tom3s OP Nov 12, 2019

It's much faster and more libraries.

AMD A97d Nov 9, 2019

TC or gtfo

AMD tom3s OP Nov 9, 2019

What nonsense. This has nothing to do with TC

Intel Djokovic Nov 12, 2019

πŸ˜‚πŸ˜‚

Xilinx CrmK88 Nov 12, 2019

There's a couple of things going for C++. HLS is one thing. If the design is in that, it's natural. System level integration is another. Being able to use the same verif env for chip, board, or system bring up/testing.

Qualcomm tctc Nov 12, 2019

for transaction level modelling. SystemC/C++ is more efficient than SV. I.e. instructions simulator, memory model

Intel Djokovic Nov 12, 2019

Efficient OR accurate? There is a difference.

Intel Djokovic Nov 12, 2019

I agree with C++. For example, Gem5 does a good job in c++ being open source.

AMD dl4@ Nov 12, 2019

More libraries for Design Verication? Are you planning on creating your own TLM structures?

AMD dl4@ Nov 12, 2019

This is exactly what I did at my last job... we created a C++ class library that modeled the UVM library..

AMD dl4@ Nov 12, 2019

Is your team hiring.... lol

Intel farshad Nov 12, 2019

I would stay with UVM for SV. Otherwise, by the time you are up and running with C++, you will have created 70-80% of what you already have today.

AMD dl4@ Nov 12, 2019

Yep, that is true.. recreating a wheel that has already been created for you. But it makes sense if there is an abstraction layer that handles communication with the simulated and/or emulated Dut. And that shim layer is in C++. Then it makes sense.

Intel metasoft Nov 28, 2019

On a related note, Accellera has UVM-SystemC library available so its possible to run UVM in C++ without using systemverilog